feed icon rss

Your email was sent successfully. Check your inbox.

An error occurred while sending the email. Please try again.

Proceed reservation?

Export
Filter
  • UB Potsdam  (1)
  • 2010-2014  (1)
  • 1975-1979
  • 2014  (1)
  • Chung, Eric S.  (1)
  • Electronic books  (1)
Type of Medium
Language
Region
Library
  • UB Potsdam  (1)
Years
  • 2010-2014  (1)
  • 1975-1979
Year
  • 2014  (1)
Keywords
  • Electronic books  (1)
Access
  • 1
    UID:
    gbv_796548862
    Format: 1 Online-Ressource (82 Seiten) , Illustrationen
    Edition: Also available in print
    ISBN: 9781627052146
    Series Statement: Synthesis Lectures on Computer Architecture #29
    Content: To date, the most common form of simulators of computer systems are software-based running on standard computers. One promising approach to improve simulation performance is to apply hardware, specifically reconfigurable hardware in the form of field programmable gate arrays (FPGAs). This manuscript describes various approaches of using FPGAs to accelerate software-implemented simulation of computer systems and selected simulators that incorporate those techniques. More precisely, we describe a simulation architecture taxonomy that incorporates a simulation architecture specifically designed f
    Content: 6. Conclusion -- A. Field programmable gate arrays -- Programmable logic elements -- Embedded SRAM blocks -- Hard "macros" -- Bibliography -- Authors' biographies
    Content: 2. Simulator background -- 2.1 Uses of computer simulation -- 2.2 Desired simulator characteristics -- 2.3 Performance simulation accuracy -- 2.4 Simulator design tradeoff -- 2.5 Simulator partitioning for parallelization -- 2.5.1 Spatial partitioning -- 2.5.2 Temporal partitioning -- 2.5.3 Functional/timing partitioning -- 2.5.4 Hybrid partitioning -- 2.6 Functional/timing simulation architectures -- 2.6.1 Monolithic simulators -- 2.6.2 Timing-directed simulators -- 2.6.3 Functional-first simulators -- 2.6.4 Timing-first simulators -- 2.6.5 Speculative functional-first -- 2.7 Simulation events and synchronization -- 2.7.1 Centralized synchronization -- 2.7.2 Decentralized event synchronization --
    Content: 3. Accelerating computer system simulators with FPGAs -- 3.1 Exploiting target partitioning on FPGAs -- 3.2 Accelerating traditional simulator architectures with FPGAs -- 3.2.1 Accelerating monolithic simulators with FPGAs -- 3.2.2 Accelerating timing-directed simulators with FPGAs -- 3.2.3 Accelerating functional-first simulators with FPGAs -- 3.2.4 Accelerating timing-first simulators with FPGAs -- 3.2.5 Accelerating speculative functional-first with FPGAs -- 3.2.6 Accelerating combined simulator architectures with FPGAs -- 3.3 Managing time through simulation event sychronization in an FPGA-accelerated simulator -- 3.3.1 Centralized barrier synchronization in an FPGA-accelerated simulator -- 3.3.2 Decentralized barrier synchronization in an FPGA-accelerated simulator -- 3.4 FPGA simulator programmability -- 3.5 Case study: FPGA-accelerated simulation technologies (FAST) --
    Content: 4. Simulation virtualization -- 4.1 Full-system and multiprocessor simulation -- 4.2 Hierarchical simulation with transplanting -- 4.2.1 Hierarchical simulation -- 4.2.2 Transplanting -- 4.2.3 Hierarchical transplanting -- 4.3 Virtualized simulation of multiprocessors -- 4.3.1 Time-multiplexed virtualization -- 4.3.2 Virtualizing memory capacity -- 4.4 Case study: the Protoflex simulator -- 4.4.1 ProtoFlex design overview -- 4.4.2 BlueSPARC pipeline -- 4.4.3 Performance evaluation -- 4.4.4 Hierarchical simulation and virtualization in a performance simulator --
    Content: 5. Categorizing FPGA-based simulators -- 5.1 Fame classifications -- 5.2 Open-sourced FPGA-based simulators -- 5.2.1 ProtoFlex -- 5.2.2 HAsim -- 5.2.3 RAMP Gold --
    Content: 1. Introduction -- 1.1 Overview -- 1.2 Host vs. target terminology -- 1.3 Why are fast, accurate simulators of computer targets needed? -- 1.4 Harnessing FPGAs for simulation not prototyping -- 1.5 The rest of the book --
    Note: Description based upon print version of record , 9781627052139_ebook_cover.pdf; PDF_blank.pdf; S00586ED1V01Y201407CAC029.pdf; Preface; Acknowledgments; Introduction; Overview; Host vs. Target Terminology; Why are Fast, Accurate Simulators of Computer Targets Needed?; Harnessing FPGAs for Simulation Not Prototyping; The Rest of the Book; Simulator Background; Uses of Computer Simulation; Desired Simulator Characteristics; Performance Simulation Accuracy; Simulator Design Tradeoff; Simulator Partitioning for Parallelization; Spatial Partitioning; Temporal Partitioning; Functional/Timing Partitioning; Hybrid Partitioning , Functional/Timing Simulation ArchitecturesMonolithic Simulators; Timing-Directed Simulators; Functional-First Simulators; Timing-First Simulators; Speculative Functional-First; Simulation Events and Synchronization; Centralized Synchronization; Decentralized Event Synchronization; Accelerating Computer System Simulators with FPGAs; Exploiting Target Partitioning on FPGAs; Accelerating Traditional Simulator Architectures with FPGAs; Accelerating Monolithic Simulators with FPGAs; Accelerating Timing-Directed Simulators with FPGAs; Accelerating Functional-First Simulators with FPGAs , Accelerating Timing-First Simulators with FPGAsAccelerating Speculative Functional-First with FPGAs; Accelerating Combined Simulator Architectures with FPGAs; Managing Time Through Simulation Event Sychronization in an FPGA-Accelerated Simulator; Centralized Barrier Synchronization in an FPGA-Accelerated Simulator; Decentralized Barrier Synchronization in an FPGA-Accelerated Simulator; FPGA Simulator Programmability; Case Study: FPGA-Accelerated Simulation Technologies (FAST); Simulation Virtualization; Full-System and Multiprocessor Simulation; Hierarchical Simulation with Transplanting , Hierarchical SimulationTransplanting; Hierarchical Transplanting; Virtualized Simulation of Multiprocessors; Time-multiplexed Virtualization; Virtualizing Memory Capacity; Case Study: the ProtoFlex Simulator; ProtoFlex Design Overview; BlueSPARC Pipeline; Performance Evaluation; Hierarchical Simulation and Virtualization in a Performance Simulator; Categorizing FPGA-based Simulators; FAME Classifications; Open-Sourced FPGA-Based Simulators; ProtoFlex; HAsim; RAMP Gold; Conclusion; Field Programmable Gate Arrays; Programmable Logic Elements; Embedded SRAM Blocks; Hard ``Macros'''' , BibliographyAuthors'' Biographies , Also available in print. , Mode of access: World Wide Web. , System requirements: Adobe Acrobat Reader.
    Additional Edition: ISBN 9781627052139
    Additional Edition: Print version FPGA-Accelerated Simulation of Computer Systems
    Language: English
    Keywords: Electronic books
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
Close ⊗
This website uses cookies and the analysis tool Matomo. Further information can be found on the KOBV privacy pages