feed icon rss

Your email was sent successfully. Check your inbox.

An error occurred while sending the email. Please try again.

Proceed reservation?

Export
Filter
  • UB Potsdam  (12)
  • Feministisches Archiv
  • SKB Bad Freienwalde
  • Filmuniversität Babelsberg
  • SB Templin
  • Electronic books  (12)
Type of Medium
Language
Region
Library
Subjects(RVK)
Access
  • 1
    UID:
    b3kat_BV035413631
    Format: 1 Online-Ressource (xxi, 494 Seiten) , 24 cm
    Edition: Online_Ausgabe Boulder, Colo NetLibrary 2004 E-Books von NetLibrary Sonstige Standardnummer des Gesamttitels: 22382847
    ISBN: 1417502231
    Note: Includes bibliographical references (p. [479]-485) and index
    Additional Edition: Reproduktion von Cvitanić, Jakša, 1962- Introduction to the economics and mathematics of financial markets 2004
    Language: English
    Subjects: Economics
    RVK:
    Keywords: Kapitalmarktforschung ; Mathematisches Modell ; Derivat ; Finanzmathematik ; Kreditmarkt ; Derivat ; Gleichgewichtsmodell ; Mathematisches Modell ; Finanzmathematik ; Optionspreistheorie ; Lehrbuch ; Electronic books. ; Electronic books. ; Electronic books
    URL: Full text  (Click to View (Currently Only Available on Campus))
    URL: Volltext  (Deutschlandweit zugänglich)
    URL: Volltext  (Deutschlandweit zugänglich)
    Author information: Cvitanić, Jakša 1962-
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 2
    Online Resource
    Online Resource
    Champaign, Ill : Project Gutenberg
    UID:
    gbv_097212806
    ISBN: 0585015007 , 9780585015002
    Series Statement: EBSCOhost eBook Collection
    Note: Access may be limited to NetLibrary affiliated libraries , Mode of access: World Wide Web.
    Additional Edition: ISBN 0585015007
    Additional Edition: ISBN 9780585015002
    Language: Spanish
    Keywords: Electronic books. ; Spanish literature ; Spanish literature ; Spanish literature. ; Electronic books
    URL: Volltext  (Deutschlandweit zugänglich)
    URL: Volltext  (Deutschlandweit zugänglich)
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 3
    Online Resource
    Online Resource
    Cheltenham, UK : Edward Elgar Publishing Limited
    UID:
    b3kat_BV047142257
    Format: 1 Online-Ressource (xviii, 185 Seiten)
    ISBN: 9781788975315
    Series Statement: Elgar research agendas
    Note: Titel, Verantwortlichkeits- und Reihenangabe der Landingpage (Elgaronline) entnommen, da kein Titelblatt vorhanden
    Additional Edition: Erscheint auch als Druck-Ausgabe, Hardcover ISBN 978-1-78897-530-8
    Language: English
    Keywords: Electronic books ; Aufsatzsammlung
    URL: Volltext  (URL des Erstveröffentlichers)
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 4
    Online Resource
    Online Resource
    New York : Bloomsbury Academic | [London] : Bloomsbury Publishing
    UID:
    gbv_1688758852
    Format: 1 Online-Ressource (xx, 276 pages) , Illustrationen
    Edition: [1.]
    ISBN: 9781501344466 , 9781501344459 , 9781501344442 , 1501344455 , 1501344447
    Content: "From the mid-20th century to the present, the Brazilian cultural scene and its art, literature, and music has been witness to a wealth of creative approaches involving sound. This is the backdrop for Making It Heard: A History of Brazilian Sound Art, a volume that offers an overview of local artists working with performance, experimental vinyl production, sound installation, sculpture, radio, field recording, and sound mapping. It criticizes universal approaches to art and music historiography that fail to recognize local idiosyncrasies, and creates a local rationale and discourse. Through this approach, Chavez and Iazzetta enable students, researchers, and artists to discover and acknowledge work produced outside of a standard Anglo-European framework"--
    Content: 10 Counter-Tradition: Toward the Black Vanguard of Contemporary Brazil GG Albuquerque11 Engaged Sonorities: Politics and Gender in the Work of Vanessa De Michelis Tânia Mello Neiva; Afterword: The Audibility of Brazilian Sound Art Ana M -- Ochoa Gautier; Notes on Contributors; Index
    Content: 5 Gambiarra's Perspective Giuliano Obici6 Listening to the Debris: Brazilian Sound Art and the Low-Technology Economy André Damièaao; Part Four Avenida; 7 Being in the Field: Process, Narrativity, and Discovery in the Field-Recording Work of Thelmo Cristovam and Alexandre Fenerich Paulo Dantas; 8 Other Paths to Sonic Cartographies: "Mapa Sonoro CWB" and Its Untethered Soundwalks Thaís Aragèaao; Part Five Batucada; 9 Out of the Mainstream: Noise and Otherness in the Work of Marie Carangi, Paula Garcia, and Sofia Caesar Lílian Campesato
    Content: Cover; Contents; List of Figures; Foreword: The Clash between Body and Artwork Ricardo Basbaum; Acknowledgments; Introduction Rui Chaves and Fernando Iazzetta; Part One Abre-Alas; 1 Sounds from Elsewhere: Episodes for a History of Brazilian Sound Art Fernando Iazzetta; 2 Making Oneself Heard in Public, through Art and in Sound-Based Scholarship Rui Chaves; Part Two Bateria; 3 Music Is What I Make Vivian Caccuri; 4 Radio Art, Cassette Culture, and Networked Artistic Practices: The International Ra(u)dio Art Show (IRAS) in Recife Yuri Bruscky; Part Three Barracèaao
    Note: Abstract freely available; full-text restricted to individual document purchasers , Includes bibliographical references and index
    Additional Edition: ISBN 9781501344435
    Additional Edition: Erscheint auch als Druck-Ausgabe Making it heard New York : Bloomsbury Academic, 2019 ISBN 9781501344435
    Language: English
    Keywords: Brasilien ; Klangobjekt ; Klangkunst ; Geschichte 1950-2016 ; Electronic books ; Aufsatzsammlung
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 5
    Online Resource
    Online Resource
    Cambridge : RSC Publ.
    UID:
    gbv_1653186755
    Format: Ill., graph. Darst.
    Edition: Online-Ausg. Online-Ressource
    ISBN: 9780854045518
    Content: This product is not available separately, it is only sold as part of a set. There are 750 products in the set and these are all sold as one entity, This product is not available separately, it is only sold as part of a set. There are 750 products in the set and these are all sold as one entity
    Additional Edition: ISBN 9781847557711
    Language: English
    Subjects: Chemistry/Pharmacy
    RVK:
    Keywords: Fullerene ; Electronic books
    URL: Volltext  (Deutschlandweit zugänglich)
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 6
    UID:
    gbv_1851416765
    Format: 1 online resource (291 pages)
    ISBN: 9781317269878
    Series Statement: Routledge Explorations in Environmental Studies
    Note: Description based on publisher supplied metadata and other sources
    Additional Edition: ISBN 9781138195950
    Additional Edition: Erscheint auch als Druck-Ausgabe ISBN 9781138195950
    Language: English
    Keywords: Electronic books
    URL: FULL  ((OIS Credentials Required))
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 7
    Online Resource
    Online Resource
    [San Rafael] : Morgan & Claypool Publishers
    UID:
    gbv_723616094
    Format: 1 Online-Ressource (116 Seiten)
    Edition: Also available in print
    ISBN: 9781608454532
    Series Statement: Synthesis Lectures on Computer Architecture #12
    Content: This lecture presents a study of the microarchitecture of contemporary microprocessors. The focus is on implementation aspects, with discussions on their implications in terms of performance, power, and cost of state-of-the-art designs. The lecture starts with an overview of the different types of microprocessors and a review of the microarchitecture of cache memories. Then, it describes the implementation of the fetch unit, where special emphasis is made on the required support for branch prediction. The next section is devoted to instruction decode with special focus on the particular support to decoding x86 instructions. The next chapter presents the allocation stage and pays special attention to the implementation of register renaming. Afterward, the issue stage is studied. Here, the logic to implement out-of-order issue for both memory and non-memory instructions is thoroughly described. The following chapter focuses on the instruction execution and describes the different functional units that can be found in contemporary microprocessors, as well as the implementation of the bypass network, which has an important impact on the performance. Finally, the lecture concludes with the commit stage, where it describes how the architectural state is updated and recovered in case of exceptions or misspeculations. This lecture is intended for an advanced course on computer architecture, suitable for graduate students or senior undergrads who want to specialize in the area of computer architecture. It is also intended for practitioners in the industry in the area of microprocessor design. The book assumes that the reader is familiar with the main concepts regarding pipelining, out-of-order execution, cache memories, and virtual memory
    Content: 1. Introduction -- Classification of microarchitectures -- Pipelines/nonpipelined processors -- In-order/out-of-order processors -- Scalar/superscalar processors -- Vector processors -- Multicore processors -- Multithreaded processors -- Classification of market segments -- Overview of a processor -- Overview of the pipeline --
    Content: 2. Caches -- Address translation -- Cache structure organization -- Parallel tag and data array access -- Serial tag and data array access -- Associativity considerations -- Lockup-free caches -- Implicitly addressed MSHRs -- Explicitly addressed MSHRs -- In-cache MSHRs -- Multiported caches -- True multiported cache design -- Array replication -- Virtual multiporting -- Multibanking -- Instruction caches -- Multiported vs. single ported -- Lockup free vs. blocking -- Other considerations --
    Content: 3. The instruction fetch unit -- Instruction cache -- Trace cache -- Branch target buffer -- Return address stack -- Conditional branch prediction -- Static prediction -- Dynamic prediction --
    Content: 4. Decode -- RISC decoding -- The x86 ISA -- Dynamic translation -- High-performance x86 decoding -- The instruction length decoder -- The dynamic translation unit --
    Content: 5. Allocation -- Renaming through the reorder buffer -- Renaming through a rename buffer -- Merged register file -- Register file read -- Recovery in case of misspeculation -- Comparison of the three schemes --
    Content: 6. The issue stage -- Introduction -- In-order issue logic -- Out-of-order issue logic -- Issue process when source operands are read before issue -- Issue queue allocation -- Instruction wakeup -- Instruction selection -- Entry reclamation -- Issue process when source operands are read after issue -- Read port reduction -- Other implementations for out-of-order issue -- Distributed issue queue -- Reservation stations -- Issue logic for memory operations -- Nonspeculative memory disambiguation -- Case study 1. Load ordering and store ordering on an AMD K6 processor -- Case study 2. Partial ordering on a MIPS R10000 processor -- Speculative memory disambiguation -- Case study. Alpha 21264 -- Speculative wakeup of load consumers --
    Content: 7. Execute -- Functional units -- The integer arithmetic and logical unit -- Integer multiplication and division -- The address generation unit -- The branch unit -- The floating-point unit -- The SIMD unit -- Result bypassing -- Bypass in a small out-of-order machine -- Multilevel bypass for wide out-of-order machines -- Bypass for in-order machines -- Organization of functional units -- Clustering -- Clustering the bypass network -- Clustering with replicated register files -- Clustering with distributed issue queue and register files --
    Content: 8. The commit stage -- Introduction -- Architectural state management -- Architectural state based on a retire register file -- Architectural state based on a merged register file -- Recovery of the speculative state -- Recovery from a branch misprediction -- Handling branch mispredictions on an ROB-based architecture with RRF -- Handling branch mispredictions on a merged register file -- Recovery from an exception -- References -- Author biographies
    Note: Description based upon print version of record , Processor Microarchitecture An Implementation Perspective; Synthesis Lectures on Computer Architecture; ABSTRACT; Keywords; Contents; chapter 1: Introduction; 1.1 CLASSIFICATION OF MICROARCHITECTURES; 1.1.1 Pipelined/Nonpipelined Processors; 1.1.2 In-Order/Out-of-Order Processors; 1.1.3 Scalar/Superscalar Processors; 1.1.4 Vector Processors; 1.1.5 Multicore Processors; 1.1.6 Multithreaded Processors; 1.2 CLASSIFICATION OF MARKET SEGMENTS; 1.3 OVERVIEW OF A PROCESSOR; 1.3.1 Overview of the Pipeline; chapter 2: Caches; 2.1 ADDRESS TRANSLATION; 2.2 CACHE STRUCTURE ORGANIZATION , 2.2.1 Parallel Tag and Data Array Access2.2.2 Serial Tag and Data Array Access; 2.2.3 Associativity Considerations; 2.3 LOCKUP-FREE CACHES; 2.3.1 Implicitly Addressed MSHRs; 2.3.2 Explicitly Addressed MSHRs; 2.3.3 In-Cache MSHRs; 2.4 MULTIPORTED CACHES; 2.4.1 True Multiported Cache Design; 2.4.2 Array Replication; 2.4.3 Virtual Multiporting; 2.4.4 Multibanking; 2.5 INSTRUCTION CACHES; 2.5.1 Multiported vs. Single Ported; 2.5.2 Lockup Free vs. Blocking; 2.5.3 Other Considerations; chapter 3: The Instruction Fetch Unit; 3.1 INSTRUCTION CACHE; 3.1.1 Trace Cache; 3.2 BRANCH TARGET BUFFER , 3.3 RETURN ADDRESS STACK3.4 CONDITIONAL BRANCH PREDICTION; 3.4.1 Static Prediction; 3.4.2 Dynamic Prediction; chapter 4: Decode; 4.1 RISC DECODING; 4.2 THE x86 ISA; 4.3 DYNAMIC TRANSLATION; 4.4 HIGH-PERFORMANCE x86 DECODING; 4.4.1 The Instruction Length Decoder; 4.4.2 The Dynamic Translation Unit; chapter 5 Allocation; 5.1 RENAMING THROUGH THE REORDER BUFFER; 5.2 RENAMING THROUGH A RENAME BUFFER; 5.3 MERGED REGISTER FILE; 5.4 REGISTER FILE READ; 5.5 RECOVERY IN CASE OF MISSPECULATION; 5.6 COMPARISON OF THE THREE SCHEMES; chapter 6 The Issue Stage; 6.1 INTRODUCTION; 6.2 IN-ORDER ISSUE LOGIC , 6.3 OUT-OF-ORDER ISSUE LOGIC6.3.1 Issue Process when Source Operands Are Read before Issue; 6.3.1.1 Issue Queue Allocation.; 6.3.1.2 Instruction Wakeup.; 6.3.1.3 Instruction Selection.; 6.3.1.4 Entry Reclamation.; 6.3.2 Issue Process when Source Operands Are Read after Issue; 6.3.2.1 Read Port Reduction.; 6.3.3 Other Implementations for Out-of-Order Issue; 6.3.3.1 Distributed Issue Queue.; 6.3.3.2 Reservation Stations.; 6.4 ISSUE LOGIC FOR MEMORY OPERATIONS; 6.4.1 Nonspeculative Memory Disambiguation; 6.4.1.1 Case Study 1: Load Ordering and Store Ordering on an AMD K6 Processor. , 6.4.1.2 Case Study 2: Partial Ordering on a MIPS R10000 Processor.6.4.2 Speculative Memory Disambiguation; 6.4.2.1 Case Study: Alpha 21264.; 6.5 SPECULATIVE WAKEUP OF LOAD CONSUMERS; chapter 7 Execute; 7.1 FUNCTIONAL UNITS; 7.1.1 The Integer Arithmetic and Logical Unit; 7.1.2 Integer Multiplication and Division; 7.1.3 The Address Generation Unit; 7.1.4 The Branch Unit; 7.1.5 The Floating-Point Unit; 7.1.6 The SIMD Unit; 7.2 RESULT BYPASSING; 7.2.1 Bypass in a Small Out-of-Order Machine; 7.2.2 Multilevel Bypass for Wide Out-of-Order Machines; 7.2.3 Bypass for In-Order Machines , 7.2.4 Organization of Functional Units , 6. The issue stage -- Introduction -- In-order issue logic -- Out-of-order issue logic -- Issue process when source operands are read before issue -- Issue queue allocation -- Instruction wakeup -- Instruction selection -- Entry reclamation -- Issue process when source operands are read after issue -- Read port reduction -- Other implementations for out-of-order issue -- Distributed issue queue -- Reservation stations -- Issue logic for memory operations -- Nonspeculative memory disambiguation -- Case study 1. Load ordering and store ordering on an AMD K6 processor -- Case study 2. Partial ordering on a MIPS R10000 processor -- Speculative memory disambiguation -- Case study. Alpha 21264 -- Speculative wakeup of load consumers , Also available in print. , System requirements: Adobe Acrobat Reader. , Mode of access: World Wide Web.
    Additional Edition: ISBN 9781608454525
    Additional Edition: Print version Processor Microarchitecture
    Language: English
    Keywords: Electronic books
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 8
    Online Resource
    Online Resource
    New York, NY : Springer
    UID:
    gbv_745884458
    Format: Online-Ressource (XIII, 311 p. 8 illus, digital)
    Edition: Online-Ausg. Electronic reproduction; Available via World Wide Web
    ISBN: 9781461463757
    Series Statement: SpringerLink
    Content: Their frightened, angry faces are grim reminders of the reach of war. They are millions of children, orphaned, displaced, forced to flee or to fight. And just as they have myriad possibilities for trauma, their lives also hold great potential for recovery.The Handbook of Resilience in Children of War explores these critical phenomena at the theoretical, research, and treatment levels, beginning with the psychosocial effects of exposure to war. Narratives of young people's lives in war zones as diverse as Afghanistan, Sri Lanka, Columbia, and Sudan reveal the complexities of their experiences a
    Note: Description based upon print version of record , Copyright; Endorsements; Contents; Contributors; 1: Overview of the Volume; Section 1: Social-Ecological Approaches to Promoting Resilience in Children of War; Section 2: Child Soldiers; Section 3: Institutional Support for Children Affected by War; Section 4: Resilience Among Refugees; Section 5: Extending Our Understanding of the Effects of War on Children; Theory and Practice; Conclusion; References; Part I: Social-Ecological Approaches to Promoting Resilience in Children of War , 2: Promoting Mental Health and Psychosocial Well-Being in Children Affected by Political Violence: Part I-Current Evidence ...An Ecological Resilience Theoretical Framework; Ecological Resilience Findings; Person (or Ontogenic System); Coping; Ideological Commitment; Religious Beliefs; Intelligence and Creativity; The Microsystem; Family; Family Cohesion; Parenting Practices and Parental Support; Peers, School; The Meso- and Exosystems; The Macrosystem; Cultural Practices; Challenges to Cultural Practices; Closing Thoughts; References , 3: Promoting Mental Health and Psychosocial Well-Being in Children Affected by Political Violence: Part II-Expanding the Ev...Limitations in Current Knowledge; A Longitudinal Study of War-Affected Youth in Sierra Leone; Child-Led Indicators in Nepal: A Participatory Approach with Former Child Soldiers; Theory Formation of a Psychosocial Intervention in Burundi; Case Vignette 1; Case Vignette 2; Moderators and Mediators in a Cluster Randomized Trial in Indonesia; Concluding Remark; References; 4: Promoting Resilience in Children of War; War Zones; Psychological and Developmental Outcomes , IASC Guidelines on Mental Health and Psychosocial SupportFour Layers of Support; Basic Services and Security; Community and Family Supports; Focused, Nonspecialized Supports; Specialized Services; Moving Forward; References; 5: Child Mental Health, Psychosocial Well-Being and Resilience in Afghanistan: A Review and Future Directions; Introduction; Afghan Childhood in Social Context; A History of War, Poverty and Sharp Inequalities; Childhood in Afghanistan; Education; Health Care; Child and Adolescent Mental Health, Distress and Resilience; Psychosocial Distress; Coping and Resilience , Mental Health Disorders in ChildrenSelf-Inflicted Injury and Suicide; Drug Use; Vulnerable Subpopulations; Gender-Specific Risk Factors; Girls in Early or Forced Marriages; Child Labour; Child Soldiers; Children with Disabilities; Violence Against Children; Children in Detention; Services to Assist Afghan Children and Youth; Layer 1: Basic Services and Security; Layer 2: Community and Family-Level Support; Layer 3: Focused Nonspecialised Supports; Layer 4: Specialised Services; Conclusions; Summary Points; References; Part II: Child Soldiers , 6: When Children Become Killers: Child Soldiers in the Civil War in Sierra Leone , Electronic reproduction; Available via World Wide Web
    Language: English
    Keywords: Kind ; Krieg ; Resilienz ; Electronic books
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 9
    Online Resource
    Online Resource
    London, England : Zed Books | [London, England] : Bloomsbury Publishing
    UID:
    gbv_1761702750
    Format: 1 Online-Ressource (xxii, 290 pages)
    Edition: Also published in print
    ISBN: 9781350223806
    Content: Introduction -- Historical overview -- Into the people -- Balance of enfranchisement -- Collective action through the electoral sphere -- The state-supporting and state-sponsord indigenous movement -- Contentious collective action in the margins of and outside the chavista bloc -- Indigenous peoples, capitalism and the political economy of the socialisms of the twenty-first century in Latin America -- Closing remarks -- Appendix: Extraordinary Assembly.
    Content: "The role of the indigenous population in the formation of the Bolivarian constitution is one of Latin America's most important untold stories. Considered a beacon of twenty-first century socialism by many, Venezuela is witnessing the paradoxical emergence of 'indigenous capitalisms' as the government and various indigenous actors are driven by notions of development and enfranchisement grounded in the ideology of multiculturalism. Venezuela Reframed shows that a considerable part of indigenous activism, aligned with the Bolivarian governments, has paved the way for development in classical, social-democratic terms. It looks at how, in opposition to sectors of the indigenous population fighting for effective autonomy, many legitimate claims are being usurped to consolidate capitalist relations. Boldly arguing that romanticized notions of cultural indigeneity hide growing class struggle, this book is essential reading not just for those interested in Venezuela, but all those interested in the prospects of democracy, contemporary states and alternatives to capitalism worldwide."--Publisher's website
    Note: Includes bibliographical references (pages 268-280) and index , Also published in print. , Mode of access: World Wide Web.
    Additional Edition: ISBN 9781783601981
    Additional Edition: ISBN 9781783601998
    Additional Edition: ISBN 178360199X
    Additional Edition: ISBN 9781783601974
    Additional Edition: ISBN 1783601973
    Additional Edition: ISBN 1783601981
    Additional Edition: ISBN 9781783602001
    Additional Edition: ISBN 1783602007
    Additional Edition: ISBN 9781783601974
    Additional Edition: Erscheint auch als Druck-Ausgabe Angosto-Ferrández, Luis Fernando Venezuela reframed London : Zed Books, 2015 ISBN 9781783601974
    Language: English
    Keywords: Electronic books
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 10
    UID:
    gbv_1655626272
    Format: Online Ressource (1 volume)
    Edition: Online-Ausg.
    ISBN: 9780444517036 , 0444517030 , 9780080559681 , 0080559689
    Series Statement: Handbook of metal physics 4
    Content: Thin metallic multilayer films have become an important part in today's computer technology. The giant magnetoresistance (GMR) effect, which plays a central role here, was discovered in the late 1980s. This can be essentially described as the effect of a magnetic field on the electron transport leading to significant changes in the resistance. Other aspects of multilayers systems, such as stability, growth, confinement are also addressed. Theoretical and experimental methods used in such work are described in some detail, with special emphasis on density functional and spin density functional theories. Magnetic anisotropy in thin films is also discussed while addressing unresolved issues and new results from exchange-bias experiments. * Discusses the GMR effect * What makes multilayers interesting and useful? * What are the latest discoveries in this field? * Simple insights in to the physics behind multilayers * Novel concepts at small length scales * Theoretical and experimental background
    Note: Includes bibliographical references and index. - Print version record , Chapter 1. GMR in Metallic Multilayersa Simple Picture -- Chapter 2. Overview of First Principles Theory: Metallic Films -- Chapter 3. Thin Epitaxial Films: Insights from Theory and Experiment -- Chapter 4. Magnetic Anisotropy in Transition Metal Systems -- Chapter 5. Probing Layered Systems: a Brief Guide to Experimental Techniques -- Chapter 6. Generalized Kohn-Sham Density Functional Theory via Effective Action Formalism -- Chapter 7. Magnetic Tunnel Jusctions and Spin Torques -- Chapter 8. Confined Electronic States in Metallic Multilayers -- Chapter 9. Half-Metallic Systems: Complete Asymmetry in Spin Transport -- Chapter 10. Exact Theoretical Studies of Small Hubbard Clusters.
    Additional Edition: ISBN 0444517030
    Additional Edition: Erscheint auch als Druck-Ausgabe Fernando, Gayanath W Metallic multilayers and their applications Amsterdam ; Boston : Elsevier, c2008
    Language: English
    Keywords: Metallischer Werkstoff ; Mehrschichtsystem ; Electronic books ; Electronic books
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
Close ⊗
This website uses cookies and the analysis tool Matomo. Further information can be found on the KOBV privacy pages