Your email was sent successfully. Check your inbox.

An error occurred while sending the email. Please try again.

Proceed reservation?

Export
  • 1
    Online Resource
    Online Resource
    American Vacuum Society ; 2001
    In:  Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena Vol. 19, No. 6 ( 2001-11-01), p. 2921-2925
    In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, American Vacuum Society, Vol. 19, No. 6 ( 2001-11-01), p. 2921-2925
    Abstract: Gravitation toward a dry silicon etch process for electron projection lithography (EPL) mask fabrication is beneficial because of the concomitant increase in the available membrane area. In order to help understand the complex Bosch etch process and its dependence upon hardware design and process parameters for scattering with angular limitation in projection election beam lithography (SCALPEL) mask fabrication, a combined equipment and feature scale model has been employed. For case studies such as varying the deposition cycle time or ramping the bias power, computed sidewall profiles from the model deviate from experimental data by only about 5.5%. Given the extreme complexity of the Bosch process, this correlation is considered excellent. The best experimental Bosch etch conditions produce SCALPEL substrates with an effective silicon etch rate of 2.7 μm/min, 6.1% etch uniformity, selectivity to tetraethyl orthosilicate & gt;240:1, and average strut sidewall angles of 87.4°. Introduction of hardware into the chamber results in partially blocking the transport of important etch and polymer deposition species to the wafer, thereby altering the etch and passivation rates. The provided learning is applicable to projection reduction exposure with variable axis immersion lenses format EPL masks as well.
    Type of Medium: Online Resource
    ISSN: 1071-1023 , 1520-8567
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 2001
    detail.hit.zdb_id: 3117331-7
    detail.hit.zdb_id: 3117333-0
    detail.hit.zdb_id: 1475429-0
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 2
    Online Resource
    Online Resource
    American Vacuum Society ; 1999
    In:  Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena Vol. 17, No. 6 ( 1999-11-01), p. 3029-3033
    In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, American Vacuum Society, Vol. 17, No. 6 ( 1999-11-01), p. 3029-3033
    Abstract: Extreme ultraviolet (EUV) lithography masks were fabricated using a stack of TaSi or TaSiN (absorber), SiON (repair buffer), and Cr (conductive etch stop) on a Mo/Si multilayer mirror deposited on a Si wafer. High-resolution structures were exposed using a commercial i-line resist, and the pattern was transferred using both electron cyclotron resonance and reactive ion etching with halogen-based gases. Process temperatures to fabricate these reticles were always maintained below 150 °C. EUV properties after patterning were measured using a synchrotron source reflectometer. Completed masks exhibited a negligible shift in the peak wavelength and less than 2% loss in reflectivity due to processing. Qualified masks were exposed with a 10× EUV exposure system. The exposures were made in 80-nm-thick DUV resist and with numerical apertures (NA) of 0.08, 0.088, and 0.1. Resolution down to 70 nm equal lines and spaces was achieved at a NA of 0.1. Line edge roughness in the resist features was 5.5 nm (3σ, one side), and the depth of focus for ±10% CD control was ±1 μm for 100 nm equal lines and spaces.
    Type of Medium: Online Resource
    ISSN: 1071-1023 , 1520-8567
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 1999
    detail.hit.zdb_id: 3117331-7
    detail.hit.zdb_id: 3117333-0
    detail.hit.zdb_id: 1475429-0
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 3
    Online Resource
    Online Resource
    American Vacuum Society ; 2002
    In:  Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena Vol. 20, No. 6 ( 2002-11-01), p. 3047-3052
    In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, American Vacuum Society, Vol. 20, No. 6 ( 2002-11-01), p. 3047-3052
    Abstract: Lithographic mask development requires that attention be paid to all aspects of mask manufacturing. As feature sizes and tolerable errors decrease, processes must be investigated for their effect on final mask quality. One potential area of concern for both extreme ultraviolet lithography (EUVL) and step and flash imprint lithography (SFIL) is temperature control during pattern transfer via dry etch. In particular, the etch tool fixturing for 6 in.×6 in.×0.25 in. (6025) plates used in EUVL development typically do not have provisions for backside helium (He) cooling, and this may allow the substrate to reach an unacceptably high temperature. This article presents the results of thermal finite element modeling and compares them with temperature data gathered during dry etch. Additionally, fused silica wafers were modeled as they are being used in SFIL template development and can also be used to evaluate the effects of backside He cooling. Substrates were etched in inductively coupled plasma and/or reactive ion etch processes. In situ measurements were made using adhesive temperature strips applied to the substrates that provided both transient and steady state temperature information. Results showed 6025 plate temperatures remained within acceptable limits for typical etch process times. Fused silica wafers did not reach temperatures of concern when backside He cooling was incorporated.
    Type of Medium: Online Resource
    ISSN: 1071-1023 , 1520-8567
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 2002
    detail.hit.zdb_id: 3117331-7
    detail.hit.zdb_id: 3117333-0
    detail.hit.zdb_id: 1475429-0
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 4
    Online Resource
    Online Resource
    American Vacuum Society ; 1997
    In:  Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena Vol. 15, No. 6 ( 1997-11-01), p. 2232-2237
    In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, American Vacuum Society, Vol. 15, No. 6 ( 1997-11-01), p. 2232-2237
    Abstract: A low stress silicon oxynitride deposition process has been developed in which the average stress level can be tailored by adjusting silane flow in the plasma enhanced chemical vapor deposition reactor. Stress gradients, as might be caused by nonuniform heating or gas distribution, were not found to exist. By volume, the SiON films were found to be approximately 81% silicon dioxide and 19% silicon nitride. Because the films are easily removed in hydrofluoric acid, this composition is ideally suited for use as a hardmask patterning layer on x-ray masks. A reactive ion etch process employing CHF3, O2, and Ar gases has demonstrated selectivity to Shipley SNR 200 resist of better than 3:1. Smooth pattern transfer into TaSi and TaSiN absorber layers of test features as small as 0.1 μm has been achieved using SiON as the hardmask layer. Image placement distortions on the order of 15 nm (3σ) occur from etching the SiON films on 64 Mbit SRAM x-ray test masks.
    Type of Medium: Online Resource
    ISSN: 1071-1023 , 1520-8567
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 1997
    detail.hit.zdb_id: 3117331-7
    detail.hit.zdb_id: 3117333-0
    detail.hit.zdb_id: 1475429-0
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 5
    Online Resource
    Online Resource
    American Vacuum Society ; 2004
    In:  Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena Vol. 22, No. 2 ( 2004-03-01), p. 695-701
    In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, American Vacuum Society, Vol. 22, No. 2 ( 2004-03-01), p. 695-701
    Abstract: Step and flash imprint lithography (SFIL) is an attractive, low-cost method for printing sub-100 nm geometries. The imprint process is performed at low pressures and room temperature, which minimizes magnification and distortion errors. Since SFIL is a 1× lithography technology, the template will require precise image placement in order to meet overlay specifications for multiple level device fabrication. In order to simplify the template fabrication process and facilitate post fabrication scanning-electron-microscope-based inspection, an integrated charge dissipation layer, such as indium tin oxide (ITO), is desired that is transparent to the SFIL exposure wavelength. The use of low-stress dielectric films such as SiON for the image relief layer minimizes the pattern distortions ( & lt;9 nm, mean+3σ) that occur after the pattern transfer process. Although ITO uniformity was also significantly improved by switching the ITO deposition process to an MRC sputter deposition system, image placement results were adversely affected.
    Type of Medium: Online Resource
    ISSN: 1071-1023 , 1520-8567
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 2004
    detail.hit.zdb_id: 3117331-7
    detail.hit.zdb_id: 3117333-0
    detail.hit.zdb_id: 1475429-0
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 6
    Online Resource
    Online Resource
    American Vacuum Society ; 1998
    In:  Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena Vol. 16, No. 6 ( 1998-11-01), p. 3500-3503
    In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, American Vacuum Society, Vol. 16, No. 6 ( 1998-11-01), p. 3500-3503
    Abstract: Pattern transfer in x-ray mask absorbers is often accomplished by reactively etching in chlorine containing plasmas. In this article, we show that the etch rate of one such absorber, TaSi, is a strong function of substrate temperature. We also show that the etch rate versus temperature behavior of TaSi is a result of the Ta in the film. Hence, other Ta based absorbers (Ta, Ta4B, TaGe, etc.) may also exhibit a similar behavior. We use finite element modeling to demonstrate that under certain conditions, significant temperature variations can exist on a National Institute Standards and Technology (NIST) x-ray mask which in turn can lead to etch rate variations. We also present experimental verification of these modeling results. Finally, through modeling, we discuss the effect of this etch rate variation on the pattern placement accuracy of NIST x-ray masks.
    Type of Medium: Online Resource
    ISSN: 1071-1023 , 1520-8567
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 1998
    detail.hit.zdb_id: 3117331-7
    detail.hit.zdb_id: 3117333-0
    detail.hit.zdb_id: 1475429-0
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 7
    Online Resource
    Online Resource
    American Vacuum Society ; 2000
    In:  Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena Vol. 18, No. 6 ( 2000-11-01), p. 3232-3236
    In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, American Vacuum Society, Vol. 18, No. 6 ( 2000-11-01), p. 3232-3236
    Abstract: Optimized etch processes for the pattern transfer of TaSiN-based SCALPEL and extreme ultraviolet lithography masks have been developed. For controllability, the etch rate is very commensurate with the pattern transfer of thin films, about 140 Å/min. Furthermore, selectivity to Cr at the process of record conditions (30 W radio frequency, 375 W inductively coupled plasma, 8 mT) is greater than 50:1, a necessity for pattern transfer on membrane-based masks. Critical dimension bias is on the order of 10 nm. For the fabrication of SCALPEL masks, supporting descum and Cr etch processes were also developed and are described later. Typical intramembrane uniformity of a 12.1 mm by 1.1 mm membrane processed through the entire pattern transfer sequence was found to be 8 nm, three sigma, which is quite favorable when compared with the starting resist uniformity (7 nm, three sigma). Similarly, using a test vehicle spanning 528 membranes, the intermembrane three sigma standard deviation was found to be 9 nm (starting resist uniformity of 8 nm, three sigma).
    Type of Medium: Online Resource
    ISSN: 1071-1023 , 1520-8567
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 2000
    detail.hit.zdb_id: 3117331-7
    detail.hit.zdb_id: 3117333-0
    detail.hit.zdb_id: 1475429-0
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 8
    Online Resource
    Online Resource
    American Vacuum Society ; 2001
    In:  Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena Vol. 19, No. 6 ( 2001-11-01), p. 2659-2664
    In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, American Vacuum Society, Vol. 19, No. 6 ( 2001-11-01), p. 2659-2664
    Abstract: Methods for correcting pattern placement errors found on SCALPEL™ masks are addressed. The methodology and implementation of individual membrane image placement correction is presented, showing its advantages over the global image placement correction method. Local image placement corrections are possible by the unique capability of electron projection lithography (EPL) tools to correct placement errors with electron optical components during exposure while addressing each individual mask membrane. Data of pattern placement on a series of 200 mm SCALPEL masks from different sources was collected. Within the mask set and patterning tools used, the placement errors are similar for all masks regardless of source. Local image placement corrections can reduce placement errors to less than 14 nm, which is less than the 20 nm budget allocated for 100 nm node lithography. Implementation of local image placement correction will permit EPL lithography to be ready for sub-100 nm node lithography without the need to burden existing mask writers on severely stringent pattern placement tolerances or chucking procedures.
    Type of Medium: Online Resource
    ISSN: 1071-1023 , 1520-8567
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 2001
    detail.hit.zdb_id: 3117331-7
    detail.hit.zdb_id: 3117333-0
    detail.hit.zdb_id: 1475429-0
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 9
    Online Resource
    Online Resource
    American Vacuum Society ; 2000
    In:  Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films Vol. 18, No. 4 ( 2000-07-01), p. 1225-1229
    In: Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, American Vacuum Society, Vol. 18, No. 4 ( 2000-07-01), p. 1225-1229
    Abstract: In scattering with angular limitation in projection electron beam lithography (SCALPEL) mask technology, the choice of membrane material is an important issue from the perspective of mask performance and manufacturing. Low-pressure chemical vapor deposition SiN has been widely employed for SCALPEL membranes because of its excellent manufacturability and other material properties. However, amorphous plasma enhanced chemical vapor deposition SiC:H has a good potential for the membrane material, and we have developed an optimized process to deposit the SiC films for mask fabrication.
    Type of Medium: Online Resource
    ISSN: 0734-2101 , 1520-8559
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 2000
    detail.hit.zdb_id: 1475424-1
    detail.hit.zdb_id: 797704-9
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
  • 10
    Online Resource
    Online Resource
    American Vacuum Society ; 1999
    In:  Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena Vol. 17, No. 6 ( 1999-11-01), p. 2970-2974
    In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, American Vacuum Society, Vol. 17, No. 6 ( 1999-11-01), p. 2970-2974
    Abstract: In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50–90 nm thick) and was subsequently exposed to EUV radiation using a 10× reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep ( & gt;85°) sidewalls, employed a HBr/Cl chemistry with a large ( & gt;10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity & gt;3:1 and sidewall profile angles ⩾85°. Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia’s GORA© CD digital image analysis software. Low LER values (6–9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs⩾60 nm was demonstrated.
    Type of Medium: Online Resource
    ISSN: 1071-1023 , 1520-8567
    RVK:
    Language: English
    Publisher: American Vacuum Society
    Publication Date: 1999
    detail.hit.zdb_id: 3117331-7
    detail.hit.zdb_id: 3117333-0
    detail.hit.zdb_id: 1475429-0
    Library Location Call Number Volume/Issue/Year Availability
    BibTip Others were also interested in ...
Close ⊗
This website uses cookies and the analysis tool Matomo. Further information can be found on the KOBV privacy pages